Eclipse VHDL plugin vs Sigasi Application

Posted on 2012-04-17 by Philippe Faes

Here is a comparison matrix to see which is best suited for you: using Sigasi as an Eclipse VHDL plugin or downloading it as a standalone VHDL editor.

Standalone Sigasi ProEclipse
Separate Eclipse download
Eclipse versionsBundled with Eclipse 3.7Eclipse 3.6 or higher
Compatible with Eclipse plugin mechanism
Recommended without prior knowledge of Eclipse
Recommended if you also work with C, C++, Java, …
.
Installation instructionsDownload itPlug it in
comments powered by Disqus