Configure include paths in Sigasi Studio

Posted on 2019-03-21
Tagged as: VerilogSystemVerilogPreprocessor