Sigasi Visual HDL 2024.1

Released on 2024-06-19

We proudly introduce Sigasi® Visual HDL™ (SVH™), our new product line for HDL design! Its re-architected core infrastructure prepares us and you, our users, for fast-paced future advancements. Of course, you can still use Sigasi Studio, yet we recommend transitioning to SVH sooner rather than later to immediately benefit from the great new features of this and the coming releases.

The first SVH release of 2024 introduces a new, tiered portfolio of progressive editions. Feature-wise, we bring you team-focused Libraries and Toolchains configuration, our AI chatbot SAL, improvements to autocomplete, and the Libraries View. This release is also the first to offer our new, non-commercial, fully-featured Community Edition, truly the best free platform for VHDL, Verilog, and SystemVerilog.

TL;DR

Large organizations can now acquire the latest and greatest for team productivity using our all-new SVH Enterprise Edition license. This release brings Documentation Generation and the beginnings of a CLI to these users.

For teams using multiple toolchains or libraries per project, SVH includes a new team-wide configuration for libraries and toolchains per project. The only thing individual team members need to do is point to their local install locations.

Introducing our AI chatbot SAL: use OpenAI  or plug in your own model and ask it any HDL-related questions. Additionally, you can highlight and explain any code.

The best autocomplete is predictable, accurate, and fast. Results are now ordered alphabetically, preference is given to stronger matches, and many irrelevant proposals were removed. We have also added an autocomplete template editor.

The new Libraries View allows you to browse design units per library and shows whether your VHDL design units are detected as RTL, behavioral, or testbench code.

Last but not least, this release sees a wide range of over 40 bug fixes and improvements.

Tiered Portfolio

The new portfolio for SVH incorporates licensing levels from our legacy products and introduces new editions.

Our loyal users will recognize the features in the SVH Designer Edition as XL license features, while SVH Professional Edition mirrors XPRT.

New to the stage is the SVH Enterprise Edition! It provides the beginnings of our CLI by offering command-line access to our powerful linting engine and automated documentation generation. Every Enterprise license holder can use sigasi-cli.
Learn more

P.S.: As a cherry on top, changes in license configuration are now picked up automatically, no need to reload VS Code anymore.

SVH Community Edition

Our new Community Edition ensures that students, open source developers, and enthusiasts can finally experience the wonders of fully assisted HDL design. It is completely free and gives you all the features of the SVH Professional Edition. There is currently no limit on project size or how long you can use it.

The only stipulation?
You cannot use it for any commercial purposes. All our terms and conditions are listed in the License Agreement .
As the Community Edition is only available for non-commercial use, it sends Talkback  data to us - only telemetry, no design data - which means it requires you to be connected to the internet while designing.
No worries, though. The system is lenient enough to allow you to continue working on the (sometimes out of reception) train!
Learn more

Toolchains and Libraries

Designers use multiple libraries and toolchains, either on the same project or various other projects the team handles. Which library and toolchain drive, simulate, or synthesize the design is part of the project. However, where these are stored on disk might vary between colleagues, who might not even use the same operating system.

Using SVH, you can define the libraries and toolchains used per project and share this configuration with your team by checking it into your SCM. Now, individual team members simply need to point to their specific install directories, and the project configuration is immediately up-to-date.
Learn more

  1. First, complete the personal configuration step.
  • Define where you store your toolchains in the user preferences. You can get to this preference file through Ctrl + Shift + P > Preferences: Open User Settings (JSON) or via the sigasi.toolchains and sigasi.libraries preference keys.
  • Define where you store your libraries
  • Reload your libraries through Ctrl + Shift + P > Sigasi: Reload Toolchain Libraries
  1. After that, select the library you wish to insert into your project via Ctrl + Shift + P > Sigasi: Manage Linked Libraries.
  1. Do you want to quickly switch between two library versions? You can do so in the blink of an eye through Sigasi: Set Active Library. Upgrading to a newer version of your favorite library suddenly became much easier. Sigasi will do all the necessary work and update your configuration files behind the scenes. Simply check these into your SCM to share your setup with your team.

AI Chatbot

Grasping innovation as it comes along, we introduce you to SAL — the Sigasi AI Layer. You can configure which Large Language Model should be used to back SAL through environment variables. It defaults to ChatGPT-3.5, but you can also configure GPT-4o, GPT-4 Turbo, or even a local model trained on your very own data. SAL keeps track of your conversations and is aware of the history of each conversation. Go ahead and ask it about HDL design or code!

Another trick up SAL’s sleeve is code explanation. Right-click any code, or even a configuration file, and press SAL > Explain This Code.
Learn more

The answer you get will be different every time, but it usually follows a similar pattern:

  • First, SAL explains the code in great detail.
  • After which, it gives a clear summary of the code.

Autocomplete

We have revisited autocomplete to make it more predictable, more accurate, better matching, and have fewer false positives. Less surprises, less head scratching, and more productivity.

Additionally, you can now create and manage your own autocomplete templates through Ctrl + Shift + P > Sigasi: Open Templates Editor.

Libraries View

The Explorer View shows files and folders annotated with their library. The Libraries View does the inverse: it shows libraries and their contained design units.
Learn more

If you are using VHDL, it would be best to first configure how to detect RTL code through Ctrl + Shift + P > Sigasi: Open Preferences > VHDL Identification.

The Libraries View will then correctly categorize design units into RTL, Behavioral, and Testbench.

Quality of Life

  • Added start state detection for the State Machines View
    • It uses the first enum member or the first tested state as the start state
  • Added the license status in the Status Bar
  • Added a Quick Fix to configure the severity of linting rules
  • Verilog Added a linting rule that detects unexpected content following a directive
  • Verilog Added reordering for include paths in the Preference View
  • Verilog Restored unfinished include path entries following a page switch in the Preference View
  • VHDL Added Vertical Alignment for aliases on the is keyword during formatting

Further New and Noteworthy

  • Greatly improved performance of diagrams when zooming in
  • Grouped Naming Conventions entries semantically
  • Added a license diagnostic page that opens when you click the license status in the Status Bar
  • Blocked renames in Common Libraries. These files are expected to be read-only
  • Removed the distinction between VHDL & Verilog support and introduced Sigasi Support instead
  • Verilog Normalized hover content to use a similar structure for all constructs
  • Verilog Added signal assignments to the Outline View
  • Verilog Added a Quick Fix when you have UVM linting rule violations but are missing a Sigasi Visual HDL Professional Edition license
  • Verilog Added a linting rule that checks whether the end name and name of constructs match
  • VHDL Unmapped files now show syntax errors when opened
  • VHDL Added a Quick Fix for Positional Association in Instantiations for aliases on the is keyword during formatting
  • VHDL Added a naming convention option for concurrent statements
  • VHDL Added new navigation options
    • from a protected type to its body
    • from a package body to a package

Licensing

Updates and Deprecations

For changes to our legacy products, see this page.

  • Updated the minimum Linux requirement for Sigasi Visual HDL to RHEL 8
  • Updated the built-in Verible  to v0.0-3648-g5ef1624a
  • Updated the Java version shipped with Sigasi Visual HDL to 17.0.9

Bug Fixes

  • Fixed incorrect Dependency Diagram when using project dependencies
  • Ordered projects in the Sigasi Projects View identically to the Explorer
  • Rendered lines in diagrams without transparency
  • Improved feedback in the Documentation View when it cannot preview documentation
  • Ensured that the language server always dies when the client does
  • Ensured that the autocomplete suggestions are not affected by which editors are open
  • Verilog The provided include path order is now remembered
  • Verilog Fixed incorrect hover content hovering in the Preprocessor View
  • VHDL Fixed false positive Duplicate declaration error in VHDL 2019 sequential block statements
  • VHDL Fixed false positive error in VHDL 2008 and above when concatenating array elements with a comma

Update or Install

You can download a .vsix file and manually install  it in VS Code.

The SHA sum is downloadable from https://download.sigasi.com/vs-code/updates/latest/vs-code-sha1.txt .

System Requirements

Sigasi Visual HDL requires:

  • Windows 10 or Windows 11 64-bit
  • RedHat Enterprise Linux RHEL 8 or 9 64-bit
  • VS Code >= 1.77 and < 2.0
  • Java JRE 11 or 17 (shipped with the extension)

We recommend having at least 8GB of memory and about 1GB of free disk space available for Sigasi Visual HDL.

Feedback

We welcome your feedback through the usual channels or the comments below. Note that we clear the comments on this page after each official release.

Transition Information

For our loyal legacy users:

  • If you are already using VS Code, the transition to SVH should be completely transparent. Your existing projects and licenses will just work.

  • If you are using Eclipse, we do not want to leave you hanging. You could transition to VS Code right away with your existing projects and licenses, but if you want a little more time, then we have got you covered with an Eclipse GUI bolted onto SVH. This is a temporary solution that will be phased out over the next year or so. For information specific to using SVH in Eclipse, as well as who to talk to about your transition, please check these release notes.

comments powered by Disqus