Missing Mode for Record Element in Mode View


When declaring a mode view, all elements of the associated record must be given a mode. If any are missing, Sigasi Visual HDL will flag this as an error (rule 257). A quickfix will be offered to add the missing elements as in, out, or inout.

type data_record is record
    data_a : std_logic_vector(1 downto 0);
    data_b : std_logic_vector(3 downto 0);
    data_c : std_logic_vector(7 downto 0);
end record data_record;

view incomplete_view of data_record is
    data_a : in;
    data_b : out;
    -- no mode for data_c
end view incomplete_view;

view complete_view of data_record is
    data_a : in;
    data_b : out;
    data_c : out;
end view complete_view;